On-Chip Optical Ring Bus (ORB) Communication Architecture for Embedded Systems on Chip

Background: As application complexity continues to increase, multi-processor systems-on-chip (MPSoC) with tens to hundreds of processing cores are becoming the norm. While computational cores have become faster with each successive technology generation, communication between them has become a bottleneck that limits overall chip performance. In the past, both global and local interconnects were made of copper (or aluminum). The long global interconnects in particular, suffered from several deep submicron (DSM) effects that reduced signal quality and increased signal delay, making this electrical global interconnect structure a performance bottleneck that limits achievable performance for emerging applications implemented on a chip. The performance was improved somewhat by pipelining long interconnects using flip-flops or buffers, but even this does not improve performance significantly in most cases. Technology: University researchers have developed an optical ring bus (ORB) communication architecture that makes use of a novel polymer-based optical ring waveguide as a global interconnect. The polymer-based optical ring-shaped waveguide global interconnect interfaces with local electrical interconnects that use traditional bus-based protocols and standards. The polymer-based optical ring waveguide global interconnect is perfectly implemented on a dedicated silicon layer of an embedded system-on-chip. The polymer-based optical ring waveguide makes use of an off-chip laser light source, bandwidth-aware wave division multiplexing (WDM), and opto-electric converters to convert electrical signals from computation blocks into optical waves, and back. The entire chip comprises clusters of computation blocks (each with their own processors, memories, etc.). Communication within clusters occurs using traditional electrical bus-based protocols and standards, whereas communication between clusters occurs through the polymer-based optical ring waveguide. Such a global optical ring waveguide-based communication architecture enables a reduction in power consumption, improvement in performance and better reliability for on-chip data communication as compared to the traditionally used all-electrical, on-chip communication architectures. Application: Such a polymer-based optical ring waveguide allows data transfers that are immune to electrical interference due to crosstalk and parasitic capacitances and inductances. Transfers in this optical waveguide have power dissipation that is completely independent of transmission distance at the chip level, a simpler routing and placement (since it is possible to physically intersect light beams with minimal crosstalk) and minimal latency for transfers (with no need for buffering or pipelining) once a path is acquired. Consequently, the ORB architecture leads to better communication reliability, performance and lower power consumption.

Type of Offer: Licensing



Next Patent »
« More Computer Science Patents

Share on      


CrowdSell Your Patent